Главная · Безопасность · Параллельные цап. Собираем качественный ЦАП уровня hi-end из недорогого набора Собираем цап

Параллельные цап. Собираем качественный ЦАП уровня hi-end из недорогого набора Собираем цап


Я хорошо помню свое босоногое радиолюбительсткое детство. Тогда не было этих ваших интернетов, зато были журналы «Юный техник», «Моделист-конструктор», «Радио».

Компоненты доставали на свалках, у барыг, иногда и в магазинах. Модельный ряд аудиотехники был не очень широк. Мои товарищи, кому посчастливилось иметь дома аппаратуру промышленного производства, мерялись страницами паспортов своих магнитофонов, усилителей и проигрывателей, где были указаны характеристики.

Волшебные слова «Уровень шумов», «КНИ», «Выходная мощность» будоражили наши умы и не давали спокойно спать.

А аппарат из Японии – это было мощнейшее впечатление. Просто им обладать. Это было стильнее последней модели ойфона* сейчас для современной молодежи – однозначно.

* под этим термином я подразумеваю любое электронное устройство, удлинняющее, увеличивающее, а так же позволяющее почувствовать себя круче окружающих, или быть не хуже. Сорри, отвлекся.


Хотя встречал я детишек – своих ровесников – до сих пор ойфонами меряются. А у кого не было возможности купить – делали сами. И порой даже лучше, чем заводское. Естественно измерить параметры было невозможно, но сравнивали на слух, и радовались, как дети. Хотя что вспоминать? Детьми мы и были тогда!

Прошло время, возможностей прибавилось. Кто-то, воплотив мечту детства, наконец купил себе BMW, в лице АС от Martin Logan. А кто-то, как я, продолжает делать технику для себя своими руками. И дело не в том, что я не могу позволить себе Logan-ы, а в том, что сделать своими руками – это интереснее. Тут важен не результат, а процесс. А так купишь, поставишь, и будешь вытирать пыль раз в неделю. Времени то уже не так много, как в детстве. Тут бы иной раз до кровати доползти. О чем это я? Ах, да. Снова отвлекся!

Ну хорошо. Сделал. Запустил. На слух все хорошо. Но ведь надо и померить! А то ведь кто-то сразу показывает все ттх своей поделки, а тут и показать то нечего... А как померить?

Мощность усилителя – легко. Усиление тоже. А вот пресловутый уровень шума и коэффициент нелинейных искажений? Покупать для этого измеритель нелинейных искажений? Для одного измерения? Смысл? Тащить железку в лабораторию? Так лабораторию еще найти надо. И что мерять? Как?
Есть нелинейные, есть гармонические искажения? Понятно, что эти понятия разные, а при оценке характеристик аудиотракта они, при малых значениях, будут примерно одинаковы. Но нужен не анализ, а количественное значение. Иностранцы в основном оперируют термином THD (Total Harmonic Distortion). Да и средства измерения в виде компьютера и программ под него измеряют именно этот параметр. В даташитах указывается он же. На форумах и в обзорах устройств снова он. Так что есть смысл оценивать именно этот параметр.

По моим наблюдениям, уже стало стандартом "de facto", использовать для домашних измерений программу RMAA.
Я давно начал подозревать, что "в консерватории что-то не так". Это было еще несколько лет назад. Creative Live меня уже разочаровал, и из АЦП осталась только встроенная звуковуха. И вот я решил провести измерения. Скачал RMAA, сделал шнуры, приготовился. И... Облом.

Результат измерения собственных параметров встроенного звука был настолько шедеврален, что я, рыдая и стуча головой об стол, только усилием воли не выбросил системник из окна.
Пожалел коллекцию порно музыки на дисках. -70Дб шума и THD в 0.25% по кольцу – это даже не hi-fi. Тот же самый результат дала коробочка на РСМ2906. Как с этим жить то?

Поэтому я забросил идею измерений. Купить внешнюю дорогую карточку, при наличии нескольких ЦАП, чтоб подивиться на циферки я никак не мог себя заставить. Поеть? Хорошо! Нравится? Прекрасно!
Но вот наконец и на моей улице перевернулась фура с пивом и чипсами! У моего товарища появилась внешняя карточка. Ну я и решил стряхнуть со шнуров пыль, и, ради интереса, все же померить то, что я накреативил за последнее время.


Вот этот девайс. Creative X-Fi THX. Судя по отзывам и описаниям – для измерения должна подойти.

Ну а теперь я попробую померить то, что у меня осталось в живых. Дело в том, что некоторую часть устройств, описанных в предыдущих частях моих статей, я либо раздал желающим, либо разобрал, либо каким-то образом доработал. В первую очередь похоронил все РСМ2704-2707. Одна осталась как тестовый источник SPDIF/I2S.
То же самое постигло и TDA1541, кроме одной, что в паре с SM5813 собирает пыль на полке. Скорее всего я не умею их готовить, но звук их мне не сильно нравится.

Тест №1

В тесте принимали участие цап, собранные мной в разное время, и частично те, что еще не собраны.
1. TDA1541 + SM5813 + выхлоп даташит на AD822 AD827 (ткнул что было, так и осталось)

2. PCM1702 + DF1706 + даташитный (РСМ1702) выхлоп на 4х (!) ОУ ОРА2604.
описан подобный, но на РСМ63. Отличается разводкой платы под другой ЦАП.

3. AD1865 + DF1706 + выхлоп на советских измерительных трансформаторах, вычурно покрашенных мной в черный цвет. Трансы эти есть вот Еще не крашеные.

4. Один из последних. Дифференциальный ЦАП на 2х РСМ1700 + SM5842 + SRC4192 +выхлоп даташит. На момент измерений он у меня лежал, размазанный по столу без корпуса.


Все ЦАП работали от источника SPDIF EDEL USB Audio interface по SPDIF. Режим измерения 16 бит 48 кгц. (выше не тянет ТДА1541)

Да, кстати! Среди вас нет кого-нибудь, кто знаком с разаработчиками этой звуковухи Creative? Если есть, пожалуйста, забейте им гвоздь в голову от моего имени, я гвоздь возмещу. Или руки по локоть тупой ножовкой? А?
Это ж каким надо быть гениальным, чтоб из аудиоустройства совсем выпилить частоту, кратную 44кгц??? Это ж как ходить без одной ноги? Сюрприз такой слегка неожиданный был для меня. Я понимаю, что у маркетолога смартфон и он через него слушает, но не так же уж совсем...

Ладно, будем мерять тем, что есть. Как работает программа, и как считает, я не знаю. Но что-то померялось. Я, с вашего позволения, буду по ходу дела комментировать то, что наколхозил.

Результат


Как видно, он вполне ожидаем. Для меня. Я думал будет сильно хуже. Графики интереснее.
АЧХ:


Тут видно непонятный спад у ТДА1541, и подъем у АД1865. Ну с АД1865 понятно, там на выходе трансформатор, и похоже где-то есть резонансная цепь. Или на входе или на выходе. По звуку все отлично.

Шум:


Здесь ярко виден горб на 50гц. Никак и ничем не убирается. ЦАП и комп на общей земле, в одной розетке, ноль отдельно, SPDIF развязан везде через трансформатор. Фильтры по правилам. Положение вилки в розетке на картину не влияет. Ухом не слышно. Странно...

Ну и THD+noise:


Тут видно, что шлейф гармоник лезет у ТДА1541, и чуть пониже у АД1865. Остальные неплохо. Что не так у 1541 – не могу сказать, выхлоп сделан по даташиту. Менять ОУ не стал, было желание просто измерить. Как я уже говорил – я не умею их готовить. А вот у АД1865 похоже дает о себе знать трансформатор. Так что его выбор и согласование с ЦАП и с ОУ– задача не простая даже на первый взгляд.

Ладно. Так как звуковуху я брал на время, надо попробовать другие варианты.
Надо проверить влияние источника и способа подачи цифры на результат измерений.

Тест №2

Теперь тестирую два устройства:
1.ЦАП на РСМ58 с выхлопом "рогов – дискрет", описанным :

2. Последняя поделка на РСМ1700 в дифференциальном включении.


Оба аппарата собраны по одинаковой топологии, SRC4192 работает в режиме "output port master 256fs" , тактовая частота 24.576.000мгц для сетки, кратной 48кгц. SM5824 с половинной частотой (на полной работает со сбоями).

Использованы два источника цифрового сигнала: EDEL USB Audio interface и Phantom USB Interface на TAS1020. Режим 16*48 и 24*64.
Тут сразу вылез косяк измерилки от Creative:
Данные для 16*48.


И для 24*96.

Поразительная разница в уровне шумов. Оба ЦАП обогнали Creative по шумам.
Вот графики шумов:
16*48:


и 24*96:


я не думаю, что это связано с работой цап, там же SRC все усредняет, а вот АЦП у Creative на 24*96 явно работает в лучшем для него режиме, поэтому меньше отсебятины.

Зато THD неизменно, что и понятно.
16*48:


и 24*96:


Причину такого поведения РСМ58 здесь объяснить не сложно. Выхлоп "Рогов" на собран был на том, что есть, без подбора по h21, поэтому и звучание у него более "гармоничное".
Кстати его звучание мне нравится больше, чем РСМ1700 с даташитным выхлопом. Хотя по измерению последняя явно лучше.

Зато в этом случае ясно одно – источник цифрового сигнала на измерение влияния не оказывает. Я даже через ASIO прогнал. Не думаю, что разрешающей способности этой измерительной системы, равно как и самих моих ЦАП хватит, чтоб уловить разницув источниках, если вообще она есть.
На слух я ее не слышу.

Тест №3

Мне интересно было потыкать разные ОУ. И сравнить. Я понимаю, что с технической точки зрения это не правильно, что нужно подбирать
номиналы деталей, корректировать схему и плату под конкретный ОУ, но тут был чисто спортивный интерес.
Как на зло, под рукой не оказалось большого выбора одиночных ОУ, поэтому тест оказался не таким расширенным, как хотелось.

ЦАП тот же – РСМ1700.


В секции I/U были опробованы AD811 и LT1363 (их было больше 4х), в секции фильтра – OPA627, LME49990, LT1122.
THD:


Здесь картину испортила только LME49990, которая почему -то показала сильно завышенный уровень и гармоник, и нтермодуляционных искажений.
Я не утверждаю, что ей не место в фильтре, но похоже под нее надо уже более тщательно подбирать номиналы и обвязку. На досуге займусь, если измерилку не отберут.

Ну и в заключении литр бальзама для любителей и профессионалов.
Встречайте! Дельта и сигма! Лед и пламень! Жесть и пластик!
Это мои .
SPDIF. Там ничего другого и нет.
24 бита, 96 кгц.

1. АК4113 + 2*РСМ1794А в моно режиме.
2. АК4113 + АК4396.
Выхлоп везде – даташит. Усилен буфером на BUF634 c током покоя 30мА.


Тут, кроме небольших дефектов монтажа и разводки, даже комментировать нечего....
АЧХ:


Шум:


THD:


Повышенный IMD у АК4396 я думаю обусловлен работой суммирующего ОУ, режим и обвязку которого нужно подбирать более тщательно. Тип ОУ не помню, корпус было вскрывать лень.
И так как они у меня не в работе, а на полке – то не знаю, займусь ли когда, или быстрее пересоберу в другом качестве.

Какие выводы для себя я сделал по этим результатам?

Я давно для себя выработал термин "комфортное звучание". Если я считал когда то, что чем ниже THD, тем оно комфортнее – нет. Прямо противоположно. Может у других и не так. Этим же наверное можно объяснить любовь людей к лампам в усилителях. Лампы добавляют в сигнал свои гармоники, причем низких порядков, как более слышимые, тем самым гармонизируют звук.
Сам я пересел на камни в усилках, излишняя “гармонизация” в сравнении с камнями в моих глазах проиграла.
Истина все равно где-то рядом.

Итого:

1. До монстров цапостроения мне еще сильно далеко шагать.

2. На качество звука ЦАП сильнее всего влияет аналоговая часть. Так как ток на выходе Дельта-Сигмы больше, чем в Мультбитном ЦАП, то режим работы ОУ в каскаде преобразователя ток/напряжение будет другим, шумов и наводок меньше. Тип ОУ тоже важен, но с этим еще надо разбираться.

3. Питание и разводка. От этого зависит шум и прочее. Хотя на слух все прекрасно. По личному наблюдению, если не имеешь дома безэховой камеры, то этот параметр не так важен. Летом, через приоткрытое окно, я слышу шум и крики детей с улицы, хотя сижу в наушниках.
О каком шуме -90Дб можно говорить?
Если засунуть ухо в пищалку в паузе и выкрутить громкость на максимум – слышно легкий шум. Фона 50/100Гц нет. Энергосберегайки, компы, дешевые DVD, WI-FI, GPRS, GPS и прочее S никто уже не отменит, или в поле, где до ближайшей ЛЭП 5-10км. Но это для отъявленных...

4. Низкий THD у дельт – некомфортное звучание. Ну не могу я себя заставить ее слушать, если параллельно с ней работает РСМ58, и переключить два ЦАП – это один щелчок селектора на преде. Не переключаю.

5. Если нужно THD как в даташите – лучше купить готовое у гуру или у известного производителя. Приготовить самому цифру с несколькими нулями довольно сложно, а иногда в домашних условиях и невозможно, если у вас нет в подвале линии по производству многослойных ПП, или сосед этим не занимается чисто случайно. Если не нужно, делайте сами – это интересно!

Для тех, кому интересно, что там за ЦАП на РСМ1700

Схема аналогична ЦАП на РСМ58. Добавлена возможность работы от четырех входов. SPDIF coax , SPDIF optical , I2S , I2S master/slave для работы с EDEL. Мултиплексирование входов на SN74LVC1G125. Полная проверенная поддержка 24*192.
Полная гальваническая развязка I2S входов через ADuM1400 и IL715. SPDIF ресивер АК4113. Так как АК4113 не может регенерить клок выше 128fs в режиме 192кгц, его клок не используется, а данные проходят отработку в SRC4192 с внешним клоком от TCXO на 40.000мГц.
Реклок на три частоты – синхронный на 24.576000мГц, 22.579400мГц и асинхронный на 40.000000мГц.Хобби-радиоэлектроника.
Увлекся железом еще с раннего детства,чем доставлял немало хлопот родителям.
Не брали в радиокружок в 4 классе,т.к. в школе еще не преподавали физику (вот такие были правила).
Сейчас занимаюсь ремонтом и настройкой компьютеров,в свободное время что-нибудь паяю или собираю-разбираю:)

Читательское голосование

Статью одобрили 44 читателя.

Для участия в голосовании зарегистрируйтесь и войдите на сайт с вашими логином и паролем.

Всем привет. Сегодня хочу поговорить о достаточно неплохом USB ЦАПе начального уровня.

Данное устройство должно заинтересовать следующие категории людей:

1) Пользователи ноутбуков и стационарников с вышедшей из строя встроенной аудио картой.

2) Пользователи ноутбуков, производитель которых не полностью добавил поддержку Windows 10.
Это как раз мой случай, подробнее:

Раскрыть пояснение

На работе выдали «новый» б/у ноутбук, в замен моего Lenovo T420 который работал на Windows 7 и находился в очень хорошем состоянии, но не совместимый с Windows 10, на который компания решила перейти полностью, по ряду соображений (официально из-за безопасности, но понятно что тут ещё фактор поддержки и совместимости сыграл роль, не только со стороны Microsoft).

Выдали мне HP Revolve 810, который вроде бы совместим с Windows 10. Всё вроде бы есть, но официального драйвера именно на звуковуху нет! Так как аудио достаточно редкое, фирма IDT:
HDAUDIO\FUNC_01&VEN_111D&DEV_76E0&SUBSYS_103C21B3&REV_1003
(такие чипы ещё любил ставить Intel на свои матерински), дрова найти особо негде.

На форуме HP наткнулся на ссылку на совместимый драйвер от такого же пользователя как и я, при этом он говорит что драйвер кривоват…
Так как драйвер взят непонятно где, да и ещё не ясно насколько хорошо работает, решил не ставить его на рабочий ноут, и пришлось мне довольствоваться стандартным виндовым драйвером.

Как показала практика, пользоваться стандартным, автоматически установленным драйвером на аудио можно, но звук будет похуже, чем мог бы быть с драйвером.
Если у вас настольная плата, то при эксплуатации такого драйвера могут возникунть проблемы с работоспособностью линейного входа, а так же с другими функциями. Кроме того, при работе на «стандартном» драйвере нет эквалайзера, который, в прочем, можно покрутить например при использовании foobar2000.
После Lenovo T420, на тех же наушниках, звук меня не устроил. Да вроде играет, да вроде без искажений, но музыку не очень хочется слушать из-за того что она подаётся как то сухо, без прежнего эмоционального окраса что ли.


3) Как альтернативная аудио карта на портативных устройствах под управлением Android (условно называю аудио картой, так как на сабже нет микрофонного входа, привычного для такой категории устройств). По поводу IOS не могу сказать, возможно там тоже заведётся.

4) Пользователей прочих устройств у которых нет аудио на борту, и на которых имеется совместимая ОС.

Ранее, на данном сайте уже рассматривались похожие устройства, но в таком исполнении я не нашёл, посмотрев среди ранее обозреваемых.

Сразу же отмечу, что есть более доступный аналог этого ЦАПа:
, стоимостью примерно в 2 раза ниже, но и качество изготовления с материалами там похуже… Думал купить её для сравнения, но пока не стал, так как в любом случае буду переделывать выход (а это лишнее время), и пока не наигрался с первым ЦАП-ом.

На Aliexpress, к слову, цапы на PCM2704 раза в 2 дороже, и есть там в основном «большие» варианты, те которые с оптическим выходом и RCA.

Перейдём к обозреваемому ЦАП-у
Плата выполнена очень качественно. Текстолит очень толстый, пайка достаточно аккуратная, флюс отмыт. Выглядит платка весьма симпатично, но лучше, всё же, что бы она была в корпусе. Производитель не по жадничал и поставил танталовые конденсаторы в выходной фильтр. Смотрите сами:

Эксплуатация и впечатления о работе.
Начать работать с ЦАП-ом очень просто. Ручная установка каких либо драйверов не требуется. Под Windows XP/7/10 драйвер подхватывался автоматически.

В отличии от встроенного аудио, ЦАП играет ощутимо громче, при том же уровне громкости. Играет достаточно качественно, немного лучше чем встроенное в мой ноутбук аудио, но разница не особо ощутима, на уровне погрешности.

Со слов коллеги, с ноутбуком Lenovo, которому повезло с наличием realtek (и соответственно полноценных дров под десятку), на его ноутбуке встройка поинтересней данного ЦАПа.

Лично на мой взгляд, сабжу не хватает «мясца» (почерпнул эту достаточно подходящую аллегорию на каком то «аудио форуме») и детализации, по крайней мере при использовании наушников с импедансом 32Ом.

Наушнички у меня так себе, но и не самый шлак:


Это Pioneer SE-MJ21.

Специально для тестов, с большой скидкой были приобретены дополнительные наушники, адаптированные для портативной техники, в том числе заточенные для техники от производителя яблочной продукции:

В этих наушниках, видимо из-за высокой чувствительности, ЦАП орёт ещё сильнее, звук более приятен и интересен если слушать звук на той же громкости что и в предыдущих наушниках, но не особо сильно.

Видимо сказывается низкая мощность встроенного в PCM2704C усилителя и достаточно большие искажения при работе на 32Ом нагрузку. Сам ЦАП по аудиофильским меркам так себе, что подтверждается в параметрах из даташита.
Более «крутого» ЦАПа у меня сейчас нет, что бы сравнить их в лоб.

Я не отношу себя к аудиофилам, но всё же, зачастую их слова не лишены смысла, даже если они расходятся с данными из документации, но такое судя по всему редкое событие.
Как я уже отметил, сабж построен на PCM2704C , так же есть более старая версия чипа PCM2704, без приставки «C», который TI не рекомендует для новых проектов. Насколько я понял при достаточно поверхностном изучении даташита, особых отличий между чипами нет, распиновка и характеристики одинаковые.

Работа под Android:
Под Android ЦАП работает, определяется телефоном в течении секунд 5 и дальше понеслась.
Я провел лишь беглое тестирование, опробовав пару плееров. Все они, звук через ЦАП воспроизводят, но не могут управлять громкостью, поэтому громкость на максимуме.
Нужно покопаться ещё в настройках, но сделать это я сейчас не могу, так как тестировал бегло, на чужих смартфонах, из-за того что мой красный рис «кончился» около двух недель назад, а почта России морозит посылку в Москве уже неделю, сил моих нет больше тянуть с обзором)). Позже думаю дополню обзор или выпущу отдельную заметку под Android, с примечанием о регулировке звука.

Под Linux не проверял работоспособность, но работать должно. Если кто то из муськовчан сильно заинтересован, то могу проверить.

Дело было вечером, делать было нечего… Кастомизация.

Решил городить простенький усилитель (тестовый макет, не более того) на доступных сдвоенных операционных усилителях, предназначенных для аудио, вдруг он «раскачает» выхлоп, подумал я.
Так получилось, что у меня таких микросхем было две, и обе разные. Одна NE5532P купленная в локальном чип и дипе за 15р, и OPA2134 купленная пару лет назад на taobao, походу настоящая).
Когда собирал усилитель, собрал сначала один канал, и несколько дней гонял его с разными ОУ, оперативно передёргивая их из заранее предусмотренной для этих целей панельки, прямо в ходе прослушивания. Звучание было разное, но об этом в другом разделе.

В «законченном проекте» (думаю всё только начинается, если мне не будет лень) использую две NE5532AP, из чип и дипа, они по 21р).

Получилось вот такое «творение», предназначенное для обкатки и тестов:

Здесь много длинных проводов, но это лишь в менее значимых частях схемы, вход сделан максимально коротким (кроме электролита) и в экране.

Один из каналов:

Здесь питание импульсное, от powerbank-а, одна из первых реализаций. Подробнее о питании ниже.

Схемотехника усилителя.
Так имеющийся миниджек (культурно сделанный) затерялся где то дома, было принято решение подпаяться к соответствующим ногам чипа для получения входного сигнала на усилитель.
Согласно документации, ноги 14-15 отвечают за вывод сигнала с ЦАП. Подпаивался к этим ногам с помощью относительно тонкого 50Ом антенного кабеля: . При этом, к самой ноге паял тонкий, медный лакированный провод, толщиной примерно 0.2мм (микрометра нету у меня, поэтому не могу точно сказать, да и не столь важно это) и уже им подпаивался к жиле кабеля. Экран кабеля паял на GND платы, который обнаружился между двумя керамическими конденсаторами, идентичными для каждого их каналов.

Сам усилитель основан на следующей, незамысловатой схеме включения сдвоенного ОУ в качестве усилителя для наушников, рассмотренного компанией BB (TI):


Схема взята от сюда:

На вход данной схемы была добавлена последовательная цепочка из резистора 4.7К и электролитического конденсатора 10мкФ. Конденсатор подключается плюсом к входному сигналу.
Так же, был добавлен резистор между не инвертирующим входом первого ОУ и землёй.

Вот итоговая схема:

Как паял и как настраивал.

Пару лет назад я паял предусилитель для динамического микрофона, и извлёк из этого кое какой опыт:
Во первых, если делается тестовый макет, в том числе с навесным монтажом, проводные соединения должны быть как можно короче и по возможности минимизированы. Расстояние между компонентами так же должно быть минимальным.
Слаботочные входные цепи должны быть экранированы и не должны пересекаться с питанием.
Всё это поможет снизить входной, собственный шум усилителя.

Первоначально, напаял переменных резисторов для тестирования входного фильтра и для подстройки коэффициента усиления, несмотря на то что обычно его задают заранее, а мощность уже регулируют переменным резистором, находящимся на входе, перед фильтром.
В конечном варианте макета, оставил лишь по переменнику 4.7К соединённому последовательно с резистором 3.3К, для каждого канала, в цепи задающий коэффициент усиления.
Кроме этого, пришлось повозиться с входным фильтром, в поисках оптимальных параметров. Здесь я подглядел в схему этого агрегата:
Нашёл в своих запасах около десятка разных конденсаторов. Это были бумажные, электролиты, плёночные и другие:

Конденсаторы

В итоге, понравилось звучание электролита 63V 10мкФ, перед которым был поставлен резистор 4.7К.

О питании

В данной схеме ОУ необходимо запитывать от двух полярного источника питания.
Необходим был преобразователь из одно полярного напряжения в двух полярное.
С Ebay, сейчас где то идёт специализированная микросхема для этих целей, но взята она была просто сравнить разницу с относительно нормальным двухполярным питанием (которое я планировал собрать сам), так как на данном сайте её успешно оттестировал Kirich и выявил что она «шумновата», что не есть гуд для аудио. Как приедет проверю и отпишусь.

В итоге, за основу была взята данная схема:

Большинство схем параллельных ЦАП основано на суммировании токов, сила каждого из которых пропорциональна весу цифрового двоичного разряда, причем должны суммироваться только токи разрядов, значения которых равны 1. Пусть, например, требуется преобразовать двоичный четырехразрядный код в аналоговый сигнал тока. У четвертого, старшего значащего разряда (СЗР) вес будет равен 2 3 =8, у третьего разряда – 2 2 =4, у второго – 2 1 =2 и у младшего (МЗР) – 2 0 =1. Если вес МЗР I МЗР =1 мА, то I СЗР =8 мА, а максимальный выходной ток преобразователя I вых.макс =15 мА и соответствует коду 1111 2 . Понятно, что коду 1001 2 , например, будет соответствовать I вых =9 мА и т.д. Следовательно, требуется построить схему, обеспечивающую генерацию и коммутацию по заданным законам точных весовых токов. Простейшая схема, реализующая указанный принцип, приведена на рис. 3.

Сопротивления резисторов выбирают так, чтобы при замкнутых ключах через них протекал ток, соответствующий весу разряда. Ключ должен быть замкнут тогда, когда соответствующий ему бит входного слова равен единице. Выходной ток определяется соотношением

При высокой разрядности ЦАП токозадающие резисторы должны быть согласованы с высокой точностью. Наиболее жесткие требования по точности предъявляются к резисторам старших разрядов, поскольку разброс токов в них не должен превышать тока младшего разряда. Поэтому разброс сопротивления в k -м разряде должен быть меньше, чем

D R / R =2 –k

Из этого условия следует, что разброс сопротивления резистора, например, в четвертом разряде не должен превышать 3%, а в 10-м разряде – 0,05% и т.д.

Рассмотренная схема при всей ее простоте обладает целым букетом недостатков. Во-первых, при различных входных кодах ток, потребляемый от источника опорного напряжения (ИОН), будет различным, а это повлияет на величину выходного напряжения ИОН. Во-вторых, значения сопротивлений весовых резисторов могут различаться в тысячи раз, а это делает весьма затруднительной реализацию этих резисторов в полупроводниковых ИМС. Кроме того, сопротивление резисторов старших разрядов в многоразрядных ЦАП может быть соизмеримым с сопротивлением замкнутого ключа, а это приведет к погрешности преобразования. В-третьих, в этой схеме к разомкнутым ключам прикладывается значительное напряжение, что усложняет их построение.

Эти недостатки устранены в схеме ЦАП AD7520 (отечественный аналог 572ПА1), разработанном фирмой Analog Devices в 1973 году, которая в настоящее время является по существу промышленным стандартом (по ней выполнены многие серийные модели ЦАП). Указанная схема представлена на рис. 4. В качестве ключей здесь используются МОП-транзисторы.

Рис. 4. Схема ЦАП с переключателями и матрицей постоянного импеданса

В этой схеме задание весовых коэффициентов ступеней преобразователя осуществляют посредством последовательного деления опорного напряжения с помощью резистивной матрицы постоянного импеданса. Основной элемент такой матрицы представляет собой делитель напряжения (рис. 5), который должен удовлетворять следующему условию: если он нагружен на сопротивление R н, то его входное сопротивление R вх также должно принимать значение R н. Коэффициент ослабления цепи a =U 2 /U 1 при этой нагрузке должен иметь заданное значение. При выполнении этих условий получаем следующие выражения для сопротивлений:

в соответствии с рис.4.

Поскольку в любом положении переключателей S k они соединяют нижние выводы резисторов с общей шиной схемы, источник опорного напряжения нагружен на постоянное входное сопротивление R вх =R . Это гарантирует неизменность опорного напряжения при любом входном коде ЦАП.

Согласно рис. 4, выходные токи схемы определяются соотношениями

(8)
(9)

а входной ток

(10)

Поскольку нижние выводы резисторов 2R матрицы при любом состоянии переключателей S k соединены с общей шиной схемы через низкое сопротивление замкнутых ключей, напряжения на ключах всегда небольшие, в пределах нескольких милливольт. Это упрощает построение ключей и схем управления ими и позволяет использовать опорное напряжение из широкого диапазона, в том числе и различной полярности. Поскольку выходной ток ЦАП зависит от U оп линейно (см. (8)), преобразователи такого типа можно использовать для умножения аналогового сигнала (подавая его на вход опорного напряжения) на цифровой код. Такие ЦАП называют перемножающими (MDAC).

Точность этой схемы снижает то обстоятельство, что для ЦАП, имеющих высокую разрядность, необходимо согласовывать сопротивления R 0 ключей с разрядными токами. Особенно это важно для ключей старших разрядов. Например, в 10-разрядном ЦАП AD7520 ключевые МОП-транзисторы шести старших разрядов сделаны разными по площади и их сопротивление R 0 нарастает согласно двоичному коду (20, 40, 80, … , 640 Ом). Таким способом уравниваются (до 10 мВ) падения напряжения на ключах первых шести разрядов, что обеспечивает монотонность и линейность переходной характеристики ЦАП. 12-разрядный ЦАП 572ПА2 имеет дифференциальную нелинейность до 0,025% (1 МЗР).

ЦАП на МОП ключах имеют относительно низкое быстродействие из-за большой входной емкости МОП-ключей. Тот же 572ПА2 имеет время установления выходного тока при смене входного кода от 000...0 до 111...1, равное 15 мкс. 12-разрядный DAC7611 фирмы Burr-Braun имеет время установления выходного напряжения 10 мкс. В то же время ЦАП на МОП-ключах имеют минимальную мощность потребления. Тот же DAC7611 потребляет всего 2,5 мВт. В последнее время появились модели ЦАП рассмотренного выше типа с более высоким быстродействием. Так 12-разрядный AD7943 имеет время установления тока 0,6 мкс и потребляемую мощность всего 25 мкВт. Малое собственное потребление позволяет запитывать такие микромощные ЦАП прямо от источника опорного напряжения. При этом они могут даже не иметь вывода для подключения ИОН, например, AD5321.

ЦАП на источниках тока

ЦАП на источниках тока обладают более высокой точностью. В отличие от предыдущего варианта, в котором весовые токи формируются резисторами сравнительно небольшого сопротивления и, как следствие, зависят от сопротивления ключей и нагрузки, в данном случае весовые токи обеспечиваются транзисторными источниками тока, имеющими высокое динамическое сопротивление. Упрощенная схема ЦАП на источниках тока приведена на рис. 6.

Рис. 6. Схема ЦАП на источниках тока

Весовые токи формируются с помощью резистивной матрицы. Потенциалы баз транзисторов одинаковы, а чтобы были равны и потенциалы эмиттеров всех транзисторов, площади их эмиттеров делают различными в соответствии с весовыми коэффициентами. Правый резистор матрицы подключен не к общей шине, как на схеме рис. 4, а к двум параллельно включенным одинаковым транзисторам VT 0 и VT н, в результате чего ток через VT 0 равен половине тока через VT 1 . Входное напряжение для резистивной матрицы создается с помощью опорного транзистора VT оп и операционного усилителя ОУ1, выходное напряжение которого устанавливается таким, что коллекторный ток транзистора VT оп принимает значение I оп. Выходной ток для N -разрядного ЦАП.

(11)

Характернымипримереми ЦАП на переключателях тока с биполярными транзисторами в качестве ключей являются 12-разрядный 594ПА1 с временем установления 3,5 мкс и погрешностью линейности не более 0,012% и 12-разрядный AD565, имеющий время установления 0,2 мкс при такой же погрешности линейности. Еще более высоким быстродействием обладает AD668, имеющий время установления 90 нс и ту же погрешность линейности. Из новых разработок можно отметить 14-разрядный AD9764 со временем установления 35 нс и погрешностью линейности не более 0,01%.

В качестве переключателей тока S k часто используются биполярные дифференциальные каскады , в которых транзисторы работают в активном режиме. Это позволяет сократить время установления до единиц наносекунд. Схема переключателя тока на дифференциальных усилителях приведена на рис. 7.

Дифференциальные каскады VT 1 –VT 3 и VT" 1 –VT" 3 образованы из стандартных ЭСЛ вентилей. Ток I k , протекающий через вывод коллектора выходного эмиттерного повторителя является выходным током ячейки. Если на цифровой вход D k подается напряжение высокого уровня, то транзистор VT 3 открывается, а транзистор VT" 3 закрывается. Выходной ток определяется выражением

Точность значительно повышается, если резистор R э заменить источником постоянного тока, как в схеме на рис. 6. Благодаря симметрии схемы существует возможность формирования двух выходных токов – прямого и инверсного. Наиболее быстродействующие модели подобных ЦАП имеют входные ЭСЛ-уровни. Примером может служить 12-ти разрядный МАХ555, имеющий время установления 4 нс до уровня 0,1%. Поскольку выходные сигналы таких ЦАП захватывают радиочастотный диапазон, они имеют выходное сопротивление 50 или 75 ом, которое должно быть согласовано с волновым сопротивлением кабеля, подключаемого к выходу преобразователя.

Формирование выходного сигнала в виде напряжения

Существует несколько способов формирования выходного напряжения для ЦАП с суммированием весовых токов. Два из них показаны на рис. 8.

Рис. 8. Формирование напряжения по токовому выходу ЦАП

На рис. 8а приведена схема с преобразователем тока в напряжение на операционном усилителе (ОУ). Эта схема пригодна для всех ЦАП с токовым выходом. Поскольку пленочные резисторы, определяющие весовые токи ЦАП имеют значительный температурный коэффициент сопротивления, резистор обратной связи R ос следует изготавливать на кристалле ЦАП и в том же технологическом процессе, что обычно и делается. Это позволяет снизить температурную нестабильность преобразователя в 300…400 раз.

Для ЦАП на МОП-ключах с учетом (8) выходное напряжение схемы на рис. 8а.

Обычно сопротивление резистора обратной связи R ос =R . В таком случае

(12)

Большинство моделей ЦАП имеет значительную выходную емкость. Например, у AD7520 с МОП-ключами в зависимости от входного кода С вых составляет величину 30…120 пФ, у AD565А с источниками тока С вых =25 пФ. Эта емкость совместно с выходным сопротивлением ЦАП и резистором R ос создает дополнительный полюс частотной характеристики петли обратной связи ОУ, который может вызвать неустойчивость в виде самовозбуждения. Особенно это опасно для ЦАП с МОП-ключами при нулевом входном коде. При R ос =10 кОм частота второго полюса составит около 100 кГц при 100%-ной глубине обратной связи. В таком случае усилитель, частота единичного усиления которого f т превышает 500 кГц, будет иметь явно недостаточные запасы устойчивости. Для сохранения устойчивости можно включить параллельно резистору R ос конденсатор С к, емкость которого в первом приближении можно взять равной С вых. Для более точного выбора С к необходимо провести полный анализ устойчивости схемы с учетом свойств конкретного ОУ. Эти мероприятия настолько серьезно ухудшают быстродействие схемы, что возникает парадоксальная ситуация: для поддержания высокого быстродействия даже недорогого ЦАП может потребоваться относительно дорогой быстродействующий (с малым временем установления) ОУ.

Ранние модели ЦАП с МОП ключами (AD7520, 572ПА1 и др.) допускают отрицательное напряжение на ключах не свыше 0,7 В, поэтому для защиты ключей между выходами ЦАП следует включать диод Шоттки, как это показано на рис. 8а.

Для цифро-аналогового преобразователя на источниках тока преобразование выходного тока в напряжение может быть произведено с помощью резистора (рис.8б). В этой схеме невозможно самовозбуждение и сохранено быстродействие, однако амплитуда выходного напряжения должна быть небольшой (например, для AD565А в биполярном режиме в пределах ± 1 В). В противном случае транзисторы источников тока могут выйти из линейного режима. Такой режим обеспечивается при низких значениях сопротивления нагрузки: R н » 1 кОм. Для увеличения амплитуды выходного сигнала ЦАП в этой схеме к ее выходу можно подключить неинвертирующий усилитель на ОУ.

Для ЦАП с МОП-ключами, чтобы получить выходной сигнал в виде напряжения, можно использовать инверсное включение резистивной матрицы (рис. 9).

Рис. 9. Инверсное включение ЦАП с МОП-ключами

Для расчета выходного напряжения найдем связь между напряжением U i на ключе S i и узловым напряжением U " i . Воспользуемся принципом суперпозиции. Будем считать равными нулю все напряжения на ключах, кроме рассматриваемого напряжения U i . При R н =2R к каждому узлу подключены справа и слева нагрузки сопротивлением 2R . Воспользовавшись методом двух узлов, получим

Выходное напряжение ЦАП найдем как общее напряжение на крайнем правом узле, вызванное суммарным действием всех U i . При этом напряжения узлов суммируются с весами, соответствующими коэффициентам деления резистивной матрицы R- 2R . Получим

Для определения выходного напряжения при произвольной нагрузке воспользуемся теоремой об эквивалентном генераторе. Из эквивалентной схемы ЦАП на рис. 10 видно, что

Эквивалентное сопротивление генератора R э совпадает со входным сопротивлением матрицы R- 2R , т.е. R э =R . При R н =2R из (14) получим

Недостатками этой схемы являются: большое падение напряжения на ключах, изменяющаяся нагрузка источника опорного напряжения и значительное выходное сопротивление. Вследствие первого недостатка по этой схеме нельзя включать ЦАП типа 572ПА1 или 572ПА2, но можно 572ПА6 и 572ПА7. Из-за второго недостатка источник опорного напряжения должен обладать низким выходным сопротивлением, в противном случае возможна немонотонность характеристики преобразования. Тем не менее, инверсное включение резистивной матрицы довольно широко применяется в ИМС ЦАП с выходом в виде напряжения, например, в 12-ти разрядном МАХ531, включающем также встроенный ОУ в неинвертирующем включении в качестве буфера, или в 16-ти разрядном МАХ542 без встроенного буфера. 12-ти разрядный ЦАП AD7390 построен на инверсной матрице с буферным усилителем на кристалле и потребляет всего 0,3 мВт мощности. Правда его время установления достигает 70 мкс.

Параллельный ЦАП на переключаемых конденсаторах

Основой ЦАП этого типа является матрица конденсаторов, емкости которых соотносятся как целые степени двух. Схема простого варианта такого преобразователя приведена на рис. 11. Емкость k -го конденсатора матрицы определяется соотношением

Равный заряд получает и конденсатор С в обратной связи ОУ. При этом выходное напряжение ОУ составит

Для хранения результата преобразования (постоянного напряжения) в течении сколь-нибудь продолжительного времени к выходу ЦАП этого типа следует подключить устройство выборки-хранения. Хранить выходное напряжение неограниченное время, как это могут делать ЦАП с суммированием весовых токов, снабженные регистром-защелкой, преобразователи на коммутируемых конденсаторах не могут из-за утечки заряда. Поэтому они применяются, в основном, в составе аналого-цифровых преобразователей. Другим недостатком является большая площадь кристалла ИМС, занимаемая подобной схемой.

ЦАП с суммированием напряжений

Схема восьмиразрядного преобразователя с суммированием напряжений, изготавливаемого в виде ИМС, приведена на рис. 8.12. Основу преобразователя составляет цепь из 256 резисторов равного сопротивления, соединенных последовательно. Вывод W через ключи S 0 …S 255 может подключаться к любой точке этой цепи в зависимости от входного числа. Входной двоичный код D преобразуется дешифратором 8х256 в унитарный позиционный код, непосредственно управляющий ключами. Если приложить напряжение U AB между выводами А и В , то напряжение между выводами W и B составит

U WB =U AB D.

Достоинством данной схемы является малая дифференциальная нелинейность и гарантированная монотонность характеристики преобразования. Ее можно использовать в качестве резистора, подстраиваемого цифровым кодом. Выпускается несколько моделей таких ЦАП. Например, микросхема AD8403 содержит четыре восьмиразрядных ЦАП, выполненных по схеме на рис. 8.12, с сопротивлением между выводами А и В 10, 50 либо 100 кОм в зависимости от модификации. При подаче активного уровня на вход “Экономичный режим” происходит размыкание ключа S откл и замыкание ключа S 0 . ИМС имеет вход сброса, которым ЦАП можно установить на середину шкалы. Фирма Dallas Semiconductor выпускает несколько моделей ЦАП (например, сдвоенный DS1867) с суммированием напряжений, у которых входной регистр представляет собой энергонезависимое оперативное запоминающее устройство, что особенно удобно для построения схем с автоматической подстройкой (калибровкой). Недостаток схемы – необходимость изготавливать на кристалле большое количество (2 N) согласованных резисторов. Тем не менее, в настоящее время выпускаются 8-ми, 10-ти и 12-ти разрядные ЦАП данного типа с буферными усилителями на выходе, например, AD5301, AD5311 и AD5321.

Главное в нашем деле - взять верный старт! Я не обязан заботиться о выстраивании линейки продуктов от дешёвого ширпотреба до самого что ни на есть high-end"а. Поэтому могу позволить себе сразу выбрать понравившийся чип цифро-аналогового преобразователя и строить дизайн вокруг него. Итак, за основу был взят "мистический ЦАП" как его называют в Сети. Я не буду делать из маленькой микросхемы большого секрета, но давайте всё же для начала сохраним интригу.

Построить хороший ЦАП для себя любимого я собирался ещё с прошлого столетия, но как-то всё руки не доходили и более приоритетные задачи брали верх. И вот тут-то мне на радость появился заказчик, с одной стороны способный оценить хороший звук, с другой же стороны - согласный мириться с некоторым уровнем "самодельщины" в законченном устройстве. Естественно я приложу все усилия, чтобы мои клиенты остались довольны своим выбором. Что теряют мои "pre-production" изделия по сравнению с серийными аппаратами раскрученных брендов - так это:

  1. часть монтажа выполнена паутинкой на "слепышах", а не на печати, что положительно отражается на качестве звука, но, увы, не будет доступно в серийных образцах;
  2. я не экономлю на мелочах типа сетевого фильтра или шунтирующих ёмкостей, в чём, кстати, не раз доводилось уличать всеми признанные авторитеты;
  3. "брэнд" мой ещё не слишком широко известен в узких кругах 🙂

На старт, внимание...

С чего начать? Правильно, лучше всего с готового устройства, пусть даже и простенького, но содержащего ключевые компоненты. В Китае за US$ 50 был приобретён неплохой в общем-то набор для самостоятельной сборки ЦАП. Как я уже , китайский экономический гений не отличается особыми техническими талантами, так что всё в том наборе было по-минимуму, в точности по datasheet"ам. Разве что питание создатели набора выстроили, как им казалось, прямо-таки очень качественное: навтыкали "КРЕНок" гирляндами. Зато к наборам прилагались весьма сообразные R-core трансформаторы.

На данном этапе не стояла задача как-то особо управлять цифровым приёмником или ЦАП"ом, поэтому жёстко зашитая минималистская цепочка S/PDIF->I2S->DAC меня вполне устроила.

Сознательно не стремился найти ЦАП с USB входом. Причина простая: компьютер фонит очень сильно и пускать весь этот мусор в аудио-аппарат нету никакого желания. Конечно, есть методы, но мне до сих пор так и не попалось ни одного ЦАП с грамотной развязкой USB входа (аппараты за 1К зелёных и выше, а так же изделия российских аудио-"левшей" не в счёт).

Считаю необходимым отметить, что несмотря на все мои придирки к схемотехнике и т.п., качество исполнения печатной платы просто отличное!

Берём контроль над ситуацией в свои руки

В документации на ЦАП в одном месте написано, что ножку аналогового питания надо зашунтировать электролитом в 10мкФ и керамикой 0.1мкФ. На схеме нога 18 именно так и зашунтирована.

Чуть дальше в том же документе сказано, что вход на ножке 17 желательно зашунтировать электролитом в 10мкФ и керамикой 0.1мкФ. Разработчик поступил в полном соответствии, исполнительный товарищ, просто молодец!

Ещё в одном месте документации сказано, что 17 ногу можно завести прямиком на аналоговое питание. Что и видим на схеме 🙂

Что самое забавное, не только в схеме, но и на печатной плате всё так и разведено: с двумя электролитами и двумя конденсаторами по 0.1мкФ, с коротышом прямо между 17 и 18 ногами чипа (дорожка к конденсаторам от 17 ноги уходит под корпус микросхемы):

Всё пришло именно таким вот грязненьким с завода. Как я это отмывал - отдельная история 🙂

Для особо любопытных: шаг ножек корпуса микросхемы - 0.65мм.

У друга моего Вадича-Борисыча попалась мне как-то ВКонтакте шикарная картинка: "сопротивление бесполезно ". Вот, навеяло, оно тут так же бесполезно, как дублированные шунтирующие конденсаторы на схемке выше, перерисовал "схему" специально для Вас:

Мне же необходимо было управлять тем, что происходит на 17-й ножке. Пришлось резать по живому. Хорошо ещё не под чипом завели перемычку - перспектива отпаивать одну ножку SSOP корпуса как-то не радует.

Посредственность - за борт

Какой цифро-аналоговый преобразователь обходится без операционных усилителей?

Правильно, только качественный ЦАП . Так что скромный фильтр на NE5532 я просто не стал напаивать. Может и стоило, чтобы было что послушать для сравнения и удостовериться, насколько неубедительно играют глубокие петлевые ООС... Но у меня уже есть CD-проигрыватель от маститого производителя, который очень старательно отыгрывает весьма посредственный звук ОУ, хоть и спрятанных за звучным названием HDAM и упаяных в экранчики. Да и других подобных "образцов" достаточно.

Учиться, учиться, и... думать!

Пожалуй на всех без исключения ЦАП от производителей из "поднебесной" наблюдаю одни и те же паровозы из "КРЕНок" (фото справа не моё, выловлено в Сети). Включая веером последовательные стабилизаторы напряжения разработчики, очевидно, пытаются добиться лучшей развязки по питанию и уменьшения проникновения помех из цифровой части в аналоговую. К сожалению, в массах отсутствует то, что я называю "токовым мышлением" в схемотехнике. На самом-то деле всё просто и... немножко грустно.

Посмотрите на какую-нибудь LM317 со стороны выхода. Наверняка найдёте 10мкФ электролит и ещё немного мелких емкостей. Теперь давайте прикинем постоянную времени в этой цепи: достаточно заглянуть в datasheet и убедиться, что выходное сопротивление "кренки" весьма невелико, чего и добивались разработчики интегрального стабилизатора. Точно считать, честно признаюсь, сейчас лень, но помехи с частотами скажем от 100КГц и ниже кренка "видит" прямо на своём выходе, сиречь управляющем электроде и, как её и спроектировали - передаёт эти пульсации "наверх по команде", старательно пытаясь удержать напряжение на своём выходе.

Колебания тока попадают на выход более высоковольтного стабилизатора. Следуя той же логике всё ещё достаточно высокочастотные изменения тока практически беспрепятственно гуляют по всей цепочке стабилизаторов. И свистят и шумят на всё окружение.

Единственное рациональное зерно в применении двух линейных стабилизаторов подряд я вижу лишь в том, что маленькие точные стабилизаторы обычно не переносят высоких входных напряжений, а наборы для само-сборки ЦАП"ов часто попадают в руки паяльщиков-такелажников, которые нередко даже не утруждаются заглянуть в доки на применённые компоненты. И наборы те по-прежнему должны работать...

Распространение достаточно высокочастотных помех легко предотвратить добавив в схему... обыкновенных резисторов. Простые RC фильтры по входу линейных стабилизаторов обеспечат прекрасную развязку ВЧ пульсаций в обе стороны, резко сократив "расстояние" по схеме, докуда доберутся броски тока (включая и "земляной" провод!)

Так что питание претерпело серьёзные изменения на плате. Увы, не обошлось без пары перерезанных дорожек и навесного монтажа.

Иногда маленький резистор много эффективней, нежели большой конденсатор:

Относимся с уважением к наследию предков

Вместо тупого моста ставим супер-быстрые диоды в выпрямитель, что ощутимо снижает "удары" тока в моменты запирания диодов. Этот приём достаточно популярен и вполне осмыслен, так что воспользуемся им и мы:

Кстати, именно непонимание того, как развязать линейные стабилизаторы по ВЧ и приводит дотошных разработчиков к тому, что на каждый блок схемы начинают ставить отдельный трансформатор. Другое весьма популярное, но тоже затратное решение проблемы последовательных стабилизаторов: использование связок источник тока - параллельный стабилизатор. В данном случае с развязкой всё в порядке, только вот мощности рассеивать приходится с немалым запасом.

Не будем требовать слишком много от "кита"

Для описания серии экспериментов с различными стабилизаторами нужна отдельная статья. Здесь лишь отмечу, что к чести разработчиков из Поднебесной, выбранный ими LDO стабилизатор lm1117, возможно, наилучший вариант из серийно выпускаемых и относительно доступных интегральных стабилизаторов. Всякие 78ХУ, LM317 и иже с ними просто отдыхают из-за несообразно большого выходного импеданса (мерял на 100КГц). Увы, в ту же корзину пошли и прецизионные LP2951. Чуть лучше ведёт себя TL431 в схеме шунтирующего стабилизатора, но там своя история: TL431 бывают очень разные, в зависимости от того, кто их делал. 1117 выигрывает с большим опережением. Увы, он же оказывается и самым шумным стабилизатором. Урчит, пищит и с нагрузкой и без.

Пришлось собирать стабилизатор самому, на дискретных компонентах. Всего из двух скромных транзисторов, следуя идеологии HotFET, удалось "выжать" всё то, что в интегральном исполнении требует десятков транзисторов и всё одно не дотягивает. Конечно, для обеспечения работы "сладкой парочки" потребовалось ещё несколько активных компонентов... но это опять уже совсем другая история.

Интересный результат макросъёмки: невооружённым глазом не заметил, что плата не до конца отмылась от флюса .

Полимеры правят балом

Последней доработкой, направленной на достижение наиболее верной передачи звука, стало "выглаживание" питания.

В критических местах были заменены обычные (пусть и неплохие ChemiCon) алюминиевые электролиты из набора - на твердотельные алюминиевые Sanyo OS-CON. Поскольку собирал два одинаковых набора в параллель, была возможность устроить "А/Б" тестирование. Разница на грани слышимости, но она есть! Без сигнала с обычными электролитами, на (очень) большом усилении, в наушниках присутствовало некое "шумовое пространство". Полимерные электролиты переносят нас в абсолют.

Sanyo OS-CON - фиолетовые бочонки без надпила на крышке.

Не хочешь думать головой - работай руками

Практически на всех платах и наборах ЦАП с применением цифрового приёмника CS8416 китайцы ставят тумблер, чтобы пользователь мог выбрать между оптическим и медным входом S/PDIF (фото справа - типичный пример, выловленный в Сети). Так вот: не нужен там переключатель, микросхема приёмника вполне может слушать два входа безо всякой помощи извне, будь то грубый тумблер или мудрый микроконтроллер.

Делюсь с Вами трюком, подсмотренным на демо-плате от самих Cristal Semiconductor. Достаточно подключить к примеру медный S/PDIF к RXN, а выход оптического TOSLINK приёмника - к RXP0.

Надеюсь, не надо объяснять, как такое работает? 😉

Даже в референтном дизайне фирмачи напахали, забыли-таки шунтирующий конденсатор в питании TORX 🙁

Экономия или безграмотность?

Очень полезно бывает почитать документацию производителей, особенно тех, что делают те самые микросхемки, на которые потом молются аудиофилы. Раскрываю самый секретный секрет: reference design board, evaluation board и тому подобные "пробнички" от производителей обычно содержат в себе примеры грамотного применения тех самых микросхем. Причём покупать все эти платы совсем не обязательно, да и ценники на такие "образцы" бывают самые разные: и 50, и 400, и за тысячу зелёных могут перевалить. Но, дорогие мои разработчики, документация на все эти платы выложена в открытом доступе! Ладно, хорош поучать.

Итак, чего недочитали китайцы, или на чём они сэкономили: скромные шунтирующие керамические конденсаторчики в 1000пФ в параллель к 10мкФ и 0.1мкФ. Казалось бы - зачем, ведь такими емкостями мы шунтируем частоты от десятков мегагерц и выше. Аудио-диапазон принято считать до 20кГц, ну до сотни кГц. Но цифровую-то часть в цифро-аналоговом преобразователе никто не отменял. Так вот именно помехи на десятках мегагерц беспрепятственно гуляют по недорогим самостройным ЦАП"ам, заставляя дрожать в страхе все PLL и создавая тем самым идеальные условия для возникновения наводящего ужас ДЖИТТЕРА.

Ещё один популярный способ сэкономить на спичках

Подавляющее большинство производителей как источников цифрового аудио-сигнала, так и цифро-аналоговых преобразователей экономят 30...50 центов на каждом устройстве. Расплачиваемся за это мы, пользователи. Подробности читать .

Какой high-end без ламп?

Веселят меня полчища tube-DAC и tube-headphone-amplifier"s в ценовом диапазоне от полутора сотен до сотен долларов, наводнившие рынок в последнее время. Видать нравится народу, как шипит и искажает лампочка при 15...24 вольт анодного. Впрочем, разбор всех болячек подобных ЦАП"ов и псевдо-ламповых усилителей для наушников - тема для отдельной статьи, да не одной.

(фото справа для примера, у меня такого лампоцапа нет)

Богатая тема. Я тут лишь по верхам пробежался, аналоговую часть вообще не затронул. А уж как интересно бывает развести правильно "землю" или организовать простое и при том удобное управление аппаратом. И чего стоят одни аттенюаторы - их ведь можно выбирать разного сопротивления, строить по разным топологиям, включать в разных частях тракта. Согласование источников с нагрузкой - очень, очень интересный, знаете ли, вопрос!... Но на сегодня пора мне уже закругляться.

BOM, или Bill of Materials

Конечно, пятьюдесятью долларами дело не ограничивается. Керамические конденсаторы из набора были заменены плёнкой. Диоды Шоттки, качественные электролиты, да много ещё чего пришлось добавить, не говоря уже о корпусе. Ну и, конечно, мой усилитель HotFET: всего 2 (два) каскада усиления от выхода ЦАП до наушников или выхода на усилитель. Ни много ни мало, а только в самом усилителе 32 транзистора насчитал в стерео варианте. Да транзисторы все - JFET"ы да depletion MOSFET"ы. Никак в полтинник зелёных не укладываюсь даже по комплектующим 🙂 Причём заметьте, это безо всякой аудиофильской эзотерики. Ну да на этот счёт у меня тоже есть своё мнение. Ведь есть же люди, считающие, что поставив "правильные" компоненты - любую схему можно заставить звучать. Если Вы, дорогой читатель, из их рядов - научите, я прислушаюсь, поспорю, отслушаю и расскажу всем о своих опытах прямо на этом сайте.

Так где же обещанная халява???

Друзья, эта статья - просто размышления, заметки на полях, была написана по горячим следам переделки китайскоЦАПа. Сам я больше в такую авантюру ни за что не ввяжусь: хоть и получилось неплохо, но обошлось слишком дорого по времени и по затраченным усилиям. И никому не советую. Когда разбирался с тем набором - яд просто сочился, что и отразилось в статье 🙂 Прошу прощения за слегка надменный стиль изложения, и ежели не оправдал ваши ожидания и не предложил раздачу почти бесплатных хайендных цапов населению 😉

Если же Вам было интересно - дайте знать, пожалуйста. Материала в закромах ещё много, а вот силы, мотивацию публиковать да оформлять всё это дают в основном отзывы, комментарии моих читателей.

Игорь ГУСЕВ, Андрей МАРКИТАНОВ

Гаврила был аудиофилом,
Гаврила ЦАПы создавал…

Действительно, почему бы нам не сделать ЦАП своими руками? Нужно ли это вообще? Конечно! Внешний конвертор пригодится, в первую очередь, владельцам CD-проигрывателей, выпущенных 5 - 10 лет назад. Техника цифровой обработки звука развивается бурными темпами, и идея оживить саунд старенького, но любимого аппарата с помощью внешнего ЦАПа представляется весьма заманчивой. Во-вторых, такое устройство может принести большую пользу тем, у кого есть недорогая модель, оснащенная цифровым выходом, - это шанс поднять его звучание на новый уровень.

Не секрет, что, создавая недорогой CD-проигрыватель, разработчик находится в жестких финансовых рамках: ему нужно и транспорт поприличнее выбрать, и оснастить новинку всяким сервисом по максимуму, вывести на переднюю панель побольше кнопок с многофункциональным индикатором и т.д., иначе по жестким законам рынка аппарат не будет продаваться. Через год, как правило, появится новый, который подчас ничем не лучше старого по звучанию (а зачастую и хуже), и так до бесконечности. А большинство крупных фирм обычно меняют весь модельный ряд каждую весну…

На качественный ЦАП и аналоговую часть схемы выделенных средств обычно не хватает, и многие производители на этом откровенно экономят. Из этого правила есть, правда, исключения, когда подобные решения принимаются намеренно, являясь элементом технической политики фирмы.

Например, хорошо известная нашим аудиофилам японская С.Е.С. ставит в свои модели CD2100 и CD3100 дорогой транспорт с большим количеством ручных регулировок, применяя при этом простенький ЦАП, явно по классу не соответствующий механике. Эти аппараты позиционируются фирмой как транспорт с контрольным аудиотрактом и изначально предназначены для работы с внешним конвертором. Несколько иная ситуация с проигрывателями ТЕАС VRDS 10 - 25. Устанавливая высококлассный привод и дорогие микросхемы ЦАП TDA1547 (DAC 7), инженеры почему-то решили сэкономить на выходных каскадах. Одна российская фирма, зная об этой особенности моделей, делает апгрейд, заменяя аналоговую часть схемы.

Об авторах

Андрей Маркитанов, инженер КБ звукотехники «Три В» из Таганрога. Разрабатывает и внедряет в производство ЦАПы под маркой «Markan», постоянный участник выставок «Российский Hi-End». Любит нестандартные решения, следит за аудиомодой, всегда в курсе последних достижений в области цифровой схемотехники. На память знает распиновку многих чипов Crystal, Burr-Brown и Philips.

Немного теории

Итак, решено - делаем ЦАП. Прежде чем мы начнем рассматривать схему, нелишне будет расшифровать некоторые общепринятые сокращения:

S/PDIF (Sony/Philips Digital Interface Format) - стандарт на цифровую передачу звуковых данных между устройствами (асинхронный интерфейс с самосинхронизацией). Также существует оптический вариант TosLink (от слов Toshiba и Link). Таким интерфейсом оснащаются практически все модели недорогих CD-плейеров, но сейчас он считается устаревшим. Существуют более совершенные интерфейсы, применяемые в дорогих аппаратах, но мы пока о них говорить не будем.

DAC (ЦАП) - цифро-аналоговый преобразователь.

IIS (Inter IC Signal bus) - стандарт на синхронный интерфейс между элементами схемы в пределах одного устройства.

PLL (Phase Locked Loop, ФАПЧ) - система фазовой автоподстройки частоты.

Emphasis - предыскажения.

В настоящее время для формата CD Audio существует два совершенно различных способа цифро-аналогового преобразования: однобитовый и мультибитовый. Не вдаваясь в подробности каждого из них, отметим, что в подавляющем большинстве дорогих моделей DAC используется мультибитовое преобразование. Почему в дорогих? Для достойной реализации такого варианта требуется качественный многоканальный источник питания, сложная процедура настройки выходных фильтров, в некоторых моделях она выполняется вручную, а в развитых странах работа квалифицированного специалиста дешево стоить не может.

Однако однобитовые преобразователи также имеют немало поклонников, т.к. у них своеобразный характер подачи звука, некоторые особенности которого трудно достижимы с помощью существующей мультибитовой технологии. К ним можно отнести более высокую линейность однобитовых ЦАПов на малых уровнях сигнала, а следовательно - лучшую микродинамику, отчетливое детальное звучание. В свою очередь, аргументом сторонников мультибитовых ЦАПов является более сильное эмоциональное воздействие на слушателя, масштабность и открытость звука, отлично воспроизводятся т.н. «драйв» и «чес», что особо ценится любителями рока.

По идее, для безупречной работы однобитовых ЦАПов требуется очень высокая тактовая частота. В нашем случае, т.е. 16 бит и 44,1 кГц, она должна составлять около 2,9 ГГц, что является абсолютно неприемлемым значением с технической точки зрения. С помощью математических трюков и всевозможных пересчетов ее удается уменьшить до приемлемых значений в пределах нескольких десятков мегагерц. Видимо, этим и объясняются некоторые особенности звучания однобитовых ЦАПов. Так какой же лучше? Мы опишем оба варианта, а уж какой выбрать - решайте сами.

Главное, чем мы руководствовались при разработке схемы, - ее предельная простота, позволяющая понять идею и реализовать ее в конкретной конструкции даже не искушенному в цифровой технике аудиофилу. Тем не менее, описываемый ЦАП способен заметно облагородить звучание бюджетного аппарата, оснащенного коаксиальным цифровым выходом. Если ваш проигрыватель такового не имеет, то несложно будет организовать его самостоятельно. Для этого в большинстве случаев достаточно установить на задней стенке разъем RCA и подпаять его сигнальный лепесток к соответствующему месту на плате. Как правило, базовый вариант motherboard делается на несколько моделей сразу, только «набивается» по-разному, и на ней должно быть место для впайки гнезда цифрового выхода. Если это не так, придется искать схему аппарата - в авторизованных сервис-центрах, на радиорынках или в Интернете. В дальнейшем этот макет может послужить объектом приложения усилий для его дальнейшего улучшения и позволит, наконец, добиться «нежной дымки над чистым образом».

Практически все аппараты подобного назначения строятся на схожей элементной базе, выбор элементов для разработчика не так уж и широк. Из доступных в России назовем микросхемы Burr-Brown, Crystal Semiconductors, Analog Devices, Philips. Из приемников S/PDIF сигнала сейчас по приемлемым ценам более-менее доступны CS8412, CS8414, CS8420 от Crystal Semiconductors, DIR1700 от Burr-Brown, AD1892 от Analog Devices. Выбор самих ЦАПов несколько шире, но в нашем случае оптимальным представляется использование CS4328, CS4329, CS4390 с преобразованием дельта-сигма, они наиболее полно отвечают критерию качество/цена. Широко распространенные в High End мультибитовые чипы Burr-Brown РСМ63 стоимостью 96 долларов или более современные PCM1702 требуют еще и определенных типов цифровых фильтров, которые тоже недешевы.

Итак, выбираем продукцию Crystal Semiconductors, а документацию на микросхемы с подробным их описанием, распиновкой и таблицами состояний можно скачать с сайта www.crystal.com.

Детали преобразователя
Сопротивления
R1 220 1/4 w
R2 75 1/4 w
R3 2k 1/4 w
R4 - R7 1k 1/4 w
R8, R9 470k 1/4 w углерод
Конденсаторы
С1 1,0 мкФ керамика
С2, С4, С8, С9 1000 мкФ х 6,3 В оксидные
С3, С5, С7, С120 1 мкФ керамика
С6 0,047 мкФ керамика
С10, С11 1,0 мкФ К40-У9 (бумага)
Полупроводники
VD1 АЛ309 красный светодиод
VT1 КТ3102А n-p-n транзистор
U1 CS8412 приемник цифрового сигнала
U2 74HC86 TTL-буфер
U3 CS4390 ЦАП

Переходим к схеме

Итак, остается вопрос: какую же схему выбрать? Как уже говорилось, она должна быть несложной, доступной для повторения и обладать достаточным потенциалом качества звучания. Также представляется обязательным наличие переключателя абсолютной фазы, что позволит лучше согласовать ЦАП с остальными элементами звукового тракта. Вот оптимальный, на наш взгляд, вариант: цифровой приемник CS8412 и однобитовый ЦАП CS4390 стоимостью около $7 за корпус (лучше постараться найти вариант DIP, это заметно облегчит монтаж). Этот ЦАП применяется в известной модели проигрывателя Meridian 508.24 и до сих пор у Crystal считается лучшим. В мультибитовом варианте используется чип Philips TDA1543. Схема однобитового преобразователя выглядит следующим образом:

Резисторы R1-R7 малогабаритные, любого типа, а вот R8 и R9 лучше взять серии ВС или импортные углеродистые. Электролитические конденсаторы С2, С4, С8, С9 должны быть номиналом не менее 1000 мкФ с рабочим напряжением 6,3 - 10 В. Конденсаторы С1, С3, С5, С6, С7 - керамические. С10, С11 желательно применить К40-У9 или МБГЧ (бумага в масле), но подойдут и пленочные К77, К71, К73 (перечислены в порядке уменьшения приоритета). Трансформатор Т1 - для цифрового аудио, достать его не проблема. Можно попробовать применить трансформатор от неисправной компьютерной сетевой платы. На схеме не показано подключение питания микросхемы U2, минус подается на 7-ю ножку, а плюс - на 14-ю.

Для максимального использования звукового потенциала схемы желательно придерживаться следующих правил монтажа. Все соединения к общему проводу (помечен значком GND) лучше произвести в одной точке, например, на выводе 7 микросхемы U2. Наибольшее внимание следует уделить входному узлу цифрового сигнала, который включает в себя входное гнездо, элементы С1, Т1, R2 и выводы 9,10 микросхемы U1.

Необходимо использовать максимально короткие соединения и выводы компонентов. То же самое относится к узлу, состоящему из элементов R5, C6 и выводов 20, 21 микросхемы U1. Электролитические конденсаторы с соответствующими керамическими шунтами должны быть установлены в непосредственной близости от выводов питания микросхем и соединены с ними проводниками минимальной длины. На схеме не показаны еще один электролит и керамический конденсатор, которые подключаются непосредственно на выводы питания 7 и 14 микросхемы U2. Необходимо также соединить между собой выводы 1, 2, 4, 5, 7, 9, 10 микросхемы U2.

После приобретения некоторого опыта вы сможете на слух подбирать величину и тип электролитических и керамических конденсаторов, стоящих в цепях питания на каждом конкретном участке.

Теперь несколько слов о работе самой схемы. Светодиод D1 служит для индикации захвата цифровым приемником U1 сигнала с транспорта и наличия ошибок считывания. В процессе нормального воспроизведения он светиться не должен. Контакты S1 переключают абсолютную фазу сигнала на выходе, это аналогично изменению полярности акустических кабелей. Меняя фазировку, вы сможете заметить, как она влияет на звучание всего тракта. В ЦАПе имеется также схема коррекции де-эмфазиса (вывод 2/U3), и хотя дисков с пре-эмфазисом выпущено не много, такая функция может пригодиться.

Теперь о выходных цепях. Непосредственное подключение микросхемы ЦАП к выходу только через разделительные конденсаторы возможно, поскольку в микросхеме CS4390 уже есть встроенный аналоговый фильтр и даже выходной буфер. По аналогичному принципу построены чипы CS4329 и CS4327, хорошую аналоговую часть также имел ЦАП CS4328. Если вы знаете, как сделать качественные ФНЧ и согласующие каскады, стоит попробовать свои силы на великолепной микросхеме CS4303, которая на выходе имеет цифровой сигнал и дает возможность построения отлично звучащего аппарата, если, например, к ней подключить ламповый буфер с кенотронным питанием.

Но вернемся к нашей CS4390. Принцип построения однобитовых ЦАПов предполагает наличие во внутренних цепях питания значительных по амплитуде импульсных помех. Для уменьшения их влияния на выходной сигнал выход таких ЦАПов практически всегда делают по дифференциальной схеме. Нас же в данном случае не интересуют рекордные показатели по значению сигнал/шум, поэтому мы используем только один выход для каждого канала, что позволяет избежать применения дополнительных аналоговых каскадов, которые могут отрицательно повлиять на звук. Амплитуда сигнала на выходных гнездах вполне достаточна для нормальной работы, а встроенный буфер неплохо справляется с такой нагрузкой, как межблочный кабель и входное сопротивление усилителя.

Теперь поговорим о питании нашего устройства. Звук - это просто модулированный источник питания и ничего больше. Каково питание, таков и звук. Этому вопросу постараемся уделить особое внимание. Начальный вариант стабилизатора питания для нашего устройства показан на рис.2

Достоинства этой схемы - в простоте и понятности. При общем выпрямителе используются разные стабилизаторы для цифровой и аналоговой частей схемы - это обязательно. Между собой они развязаны по входу фильтром, состоящим из С1, L1, С2, С3. Вместо пятивольтовых стабилизаторов 7805 лучше поставить регулируемые LM317 с соответствующими резистивными делителями в цепи управляющего вывода. Расчет номиналов сопротивлений можно найти в любом справочнике по линейным микросхемам. LM317 по сравнению с 7805 имеют более широкий частотный диапазон (не забывайте, что по цепям питания у нас идет не только постоянный ток, но и широкополосный цифровой сигнал), меньшие внутренние шумы и более спокойную реакцию на импульсную нагрузку. Дело в том, что при появлении импульсной помехи (а их по питанию видимо-невидимо!) схема стабилизации, охваченная глубокой отрицательной обратной связью (она необходима для получения высокого коэффициента стабилизации и малого выходного сопротивления), пытается ее скомпенсировать. Как положено для схем с ООС, возникает затухающий колебательный процесс, на который накладываются вновь пришедшие помехи, и в результате выходное напряжение постоянно прыгает вверх-вниз. Отсюда следует, что для питания цифровых схем желательно использовать стабилизаторы на дискретных элементах, не содержащие ОС. Конечно, в таком случае выходное сопротивление источника будет значительно выше, поэтому вся ответственность за борьбу с импульсными помехами перекладывается на шунтирующие конденсаторы, которые с этой задачей справляются неплохо, и это благотворно сказывается на звучании. Кроме того, явно вырисовывается необходимость применения для каждого вывода питания цифровых микросхем отдельного стабилизатора вместе с элементами развязки по питанию (аналогично L1, С2, С3 на рис.2).

В ЦАПах Markan так и сделано, причем фильтр с дополнительным подавлением цифровых помех и выпрямитель работают от отдельной обмотки сетевого трансформатора, а для дополнительной развязки цифровой и аналоговой частей схемы даже используются разные трансформаторы. Так же делается и для дальнейшего усовершенствования нашего ЦАПа, хотя для начала можно использовать схему на рис.2, она обеспечит начальный уровень качества звучания. В выпрямителе лучше применять быстрые диоды Шоттки.

Мультибитовый вариант схемы

Обычно мультибитовые ЦАПы требуют для своей работы нескольких источников напряжения разной полярности и немалого количества дополнительных дискретных элементов. Среди большого разнообразия микросхем остановим свой выбор на Philips TDA1543. Этот ЦАП является «бюджетной» версией великолепной микросхемы TDA 1541, стоит копейки и доступен в розничной продаже у нас в стране.

Микросхема TDA 1541 применялась в CD-проигрывателе Arcam Alpha 5, в свое время собравшем множество призов, хотя его же сильно и ругали - допотопный ЦАП, сильные помехи, но ведь как звучит! Эта микросхема также до сих пор применяется в проигрывателях Naim. TDA1543 великолепно подходит для наших целей, т.к. для него необходим только один источник питания +5 В и он не требует дополнительных деталей. Отпаиваем CS4390 от цифрового приемника и на ее место подключаем TDA 1543 в соответствии со схемой на рис. 3.

Здесь необходимо дать несколько дополнительных разъяснений. Все мультибитовые ЦАПы имеют токовый выход, и для преобразования сигнала в напряжение существуют несколько схемотехнических решений. Наиболее распространенное - операционный усилитель, подключенный инвертирующим входом к выходу ЦАПа. Преобразование ток-напряжение осуществляется за счет ОС, его охватывающей. По теории он работает замечательно, и такой подход считается классическим - его можно встретить в рекомендованных вариантах включения любого мультибитового ЦАПа. Но если говорить о звучании, то тут все не так просто. Для реализации этого метода на практике требуются очень качественные ОУ с хорошими скоростными характеристиками, например AD811 или AD817, которые стоят более $5 за штуку. Поэтому в бюджетных конструкциях чаще поступают по-другому: просто подключают к выходу ЦАПа обычный резистор, и ток, проходя по нему, будет создавать падение напряжения, т.е. полноценный сигнал. Величина этого напряжения будет прямо пропорциональна величине резистора и току, через него протекающему. Несмотря на кажущуюся простоту и изящество этого метода, он пока не получил широкого применения у производителей дорогой аппаратуры, т.к. также имеет множество подводных камней. Главная проблема в том, что токовый выход ЦАПов не предусматривает наличия напряжения на нем и обычно защищен диодами, включенными встречно-параллельно и вносящими значительные искажения в получаемый на резисторе сигнал. Среди известных производителей, которые все-таки решились на такой метод, следует выделить фирму Kondo, которая в своем M-100DAC ставит резистор, намотанный серебряной проволокой. Очевидно, что он имеет очень маленькое сопротивление и амплитуда выходного сигнала также очень мала. Для получения стандартной амплитуды используется несколько ламповых каскадов усиления. Еще одной известной фирмой с нетрадиционным подходом к вопросу преобразования ток-напряжение, является Audio Note. В своих ЦАПах она применяет для этих целей трансформатор, в котором ток, проходящий через первичную обмотку, вызывает магнитный поток, приводящий к появлению на вторичной обмотке напряжения сигнала. Такой же принцип реализован в некоторых ЦАПах серии «Markan».

Но вернемся к TDA 1543. Похоже, что разработчики этой микросхемы по каким-то причинам не установили защитные диоды на выходе. Это открывает перспективу для использования резисторного преобразователя ток-напряжение. Сопротивления R2 и R4 на рис. 3 - как раз для этого. При указанных номиналах амплитуда выходного сигнала составляет около 1 В, чего вполне достаточно для непосредственного подключения ЦАПа к усилителю мощности. Следует отметить, что нагрузочная способность нашей схемы не очень велика и при неблагоприятных условиях (большая емкость межблочного кабеля, малое входное сопротивление усилителя мощности и др.) звучание может быть слегка зажатым по динамике и «размазанным». В этом случае поможет выходной буфер, схему и конструкцию которого вы можете выбрать из множества существующих вариантов. Может случиться, что в некоторых выпускаемых вариантах микросхемы TDA 1543 защитные диоды все-таки установлены (хотя в спецификациях таких сведений нет, и конкретные экземпляры нам также не попадались). В этом случае удастся снять с нее сигнал амплитудой не более 0,2 В, и придется использовать выходной усилитель. Для этого необходимо в 5 раз уменьшить номинал резисторов R2 и R4. Конденсаторы С2 и С4 на рис. 3 образуют фильтр первого порядка, устраняющий ВЧ-помехи из аналогового сигнала и формирующий нужную АЧХ в верхней части диапазона.

Во многих конструкциях ЦАПов используются цифровые фильтры, что значительно облегчает задачу разработчику при проектировании аналоговой части, но при этом на ЦФ ложится большая часть ответственности за конечное звучание аппарата. В последнее время от них стали отказываться, поскольку грамотный аналоговый фильтр эффективно подавляет ВЧ-шумы и не так пагубно влияет на музыкальность. Именно так сделано в ЦАПах «Markan», в которых используется обычный фильтр третьего порядка с линейной фазовой характеристикой, выполненный на LC-элементах. В нашей схеме на рис. 3 для простоты применен аналоговый фильтр первого порядка, которого в большинстве случаев вполне достаточно, особенно если вы используете ламповый усилитель мощности, да еще и без обратных связей. Если же у вас аппаратура транзисторная, то вполне возможно, что придется увеличить порядок фильтра (однако не переусердствуйте, слишком крутая схема обязательно ухудшит звучание). Соответствующие схемы и формулы для расчета вы найдете в любом приличном радиолюбительском справочнике.

Обратите внимание, что резисторы R2, R4 и конденсаторы C2, C4 находятся именно в том месте, где зарождается аналоговый звук. High End начинается именно отсюда и, что называется, «далее везде». От качества этих элементов (особенно от резисторов) в огромной степени будет зависеть звучание всего аппарата. Резисторы необходимо ставить углеродистые ВС, УЛИ или бороуглеродистые БЛП (предварительно подобрав их по одинаковости сопротивлений с помощью омметра), применение импортной экзотики также приветствуется. Конденсаторы допустимы любого типа из указанных выше. Все соединения должны быть минимальной длины. Разумеется, качественные выходные разъемы также необходимы.

Что же у нас получилось?

Я раньше скверно пел куплеты,
хрипел, орал и врал мотив…

(Дж. К. Джером, «Трое в лодке,
не считая собаки»)

Не поленюсь напомнить, что перед первым включением устройства необходимо тщательно проверить весь монтаж. Регулятор громкости усилителя при этом нужно устанавливать в минимальное положение и плавно увеличивать громкость, если помехи, свист и фон на выходе отсутствуют. Будьте внимательны и аккуратны!

В целом для однобитовых ЦАПов характерно очень мягкое, приятное звучание, с обилием тонких деталей. Кажется, что весь свой звуковой потенциал они бросают на помощь солисту, оттесняя других участников музыкального произведения куда-то на задний план. Большие оркестры несколько «уменьшаются» по составу музыкантов, страдают мощь и масштабность их звучания. Мультибитовые ЦАПы уделяют одинаковое внимание всем участникам музыкального действия, не отдаляя и не выделяя никого из них. Динамический диапазон шире, звучание более ровное, но в то же время несколько более отстраненное.

Например, при воспроизведении через мультибитовый ЦАП хорошо известной песни «I Put A Spell on You» в исполнении Creedence Clearwater Revival великолепно передается ее энергетика, мощный поток эмоций просто завораживает, становится понятным замысел ее создателей, мы остро чувствуем, что они хотели нам сказать. Мелкие детали несколько смазаны, но на фоне описанных выше доминирующих характеристик такой подачи звука это не кажется серьезным недостатком. При воспроизведении этой же песни через однобитовый ЦАП картина несколько иная: звучание не столь масштабно, сцена несколько отодвинута назад, зато отлично слышны подробности звукоизвлечения, мелкие штрихи. Хорошо передается момент, когда музыкант приближает гитару к комбику, добиваясь легкого самовозбуждения усилителя. Зато при прослушивании Элвиса Пресли великолепно раскрывается все богатство его голоса. Хорошо заметно, как он менялся с возрастом, эмоциональное воздействие на слушателя также сильно, а несколько отодвинутый на задний план аккомпанемент органично вписывается в общую картину.

Так что выбор типа ЦАПа остается за вами, у обоих вариантов есть как сильные, так и слабые стороны, истина, разумеется, лежит где-то посередине. Несмотря на простоту, звуковой потенциал описанных схем достаточно высок, и при творческом выполнении приведенных рекомендаций конечные результаты вас разочаровать не должны. Желаем успеха!

На вопросы разработчик схемы